Define Coverage Bin in System Verilog using Incremental Values -


i trying define coverage using systemverilog large coverage area. example, define coverage bin starts @ 24'h000000, ends @ 24'h001ff0, , increments 24'h000008. have tried far; however, not compile.

bins scratchpad = {24'h000000:24'h000008:24'h001ff0}; 

this gives syntax error: syntax error: token ':'.

is there way avoid having explicitly write out coverage points? know can define bin {24'h000000:24'h001ff0}, contains points not wish include.

you can add with clause

bins scratchpad[] = {[24'h000000:24'h001ff0]} (item % 8 == 0);

see http://go.mentor.com/ready-for-systemverilog-2012 other options , ieee 1800-2012 lrm section 19.5.1.1


Comments

Popular posts from this blog

javascript - Using jquery append to add option values into a select element not working -

Android soft keyboard reverts to default keyboard on orientation change -

jquery - javascript onscroll fade same class but with different div -